542.38K
Категория: ЭлектроникаЭлектроника

Цифровая обработка сигналов на программируемой логической интегральной схеме

1.

Цифровая обработка сигналов на
программируемой логической
интегральной схеме
Студент: Сичкаренко Александр Алексеевич
Группа: РРМО-01-23

2.

Цифровая обработка сигналов на ПЛИС
Оценка эффективности применения ПЛИС для задач ЦОС представляет
интерес
для
разработчиков
высокопроизводительных
устройств
вычислительной техники как в теоретическом, так и практическом аспекте.
При рассмотрении вопросов разработки систем обработки сигналов, в
частности, связанных с цифровой фильтрацией, следует отметить, что методы
расчета ЦФ и программные инструменты в настоящее время весьма
разнообразны.
Одним из устройств, использующееся для цифровой обработки сигналов
является ПЛИС.

3.

Что такое ПЛИС
Программируемая логическая интегральная схема (ПЛИС) –
электронный компонент, используемый для создания
цифровых интегральных схем.
В отличие от обычных цифровых микросхем, логика работы
ПЛИС не определяется при изготовлении, а задается
посредством программирования (проектирования).
ПЛИС широко используется для построения различных по
сложности и по возможностям цифровых устройств,
например:
- устройств с большим количеством портов ввода-вывода
(бывают ПЛИС с более, чем 1000 выводами);
- устройств, выполняющих цифровую обработку сигналов;
- цифровой видеоаппаратуры;
- устройств, выполняющих передачу данных на высокой
скорости.

4.

Структура ПЛИС
В наиболее типичном варианте ПЛИС, выполненная по технологии FPGA, состоит из
прямоугольной матрицы конфигурируемых логических блоков, блоков ввода-вывода и
дополнительных блоков. Между логическими блоками располагаются программируемые
трассировочные линии. Между матрицей логических блоков и блоками ввода-вывода имеются
отдельные межсоединения, которые обеспечивают подключение внешних сигналов.

5.

Отличие ПЛИС от МК
ПЛИС позволяет реализовать свой собственный контроллер. Если в микроконтроллере все внутренние
соединения транзисторов жестко заданы производителем, то в ПЛИС все связи задаются программно.
Переконфигурировав внутренние блоки нужным образом, можно получить микросхему,
выполняющую на аппаратном уровне нужные нам действия. Можно сконфигурировать так, что
получится микроконтроллер. А можно сделать просто таймер, мигающий на выходе светодиодом.
Главное, чтобы для реализуемой задачи хватило программируемых блоков, число которых может
меняться в большом диапазоне, в зависимости от производителя и модели ПЛИС.
Благодаря блочной структуре, ПЛИС выполняет все задачи параллельно, в отличие от
последовательного выполнения на МК. В требовательных задачах, таких как ЦОС, это дает выигрыш
в производительности от нескольких раз до нескольких сотен раз, но только при условии,
возможности реализации одного и того же алгоритма на ПЛИС и МК. В большинстве случаев, МК не
может быть использован для серьезной цифровой обработки.

6.

Основные языки «программирования» ПЛИС
Основными языками «программирования»
ПЛИС является Verilog/SystemVerilog и
VHDL.
Слово программирование взяло в кавычки,
т.к. под ним понимается проектирование
системы, приводящее в конечном итоге к
конфигурации логических блоков внутри
ПЛИС.
Данные языки проектирования являются
высокоуровневыми.
Они
полностью
функционально эквивалентны, однако
имеют разный синтаксис.
VHDL
Verilog/SystemVerilo
g
Общие черты HDL-языков:
- имеют несинтезируемое и несинтезируемое подмножество операторов. Именно синтезируемые
операторы, описывающие функциональность реальной аппаратуры отличают HDL-языки от
обычных языков программирования. Несинтезируемое подмножество используется для симуляции
и тестирования и мало отличается от алгоритмических языков программирования.
- используются для описания параллельно выполняющихся действий.

7.

Основные языки «программирования» ПЛИС
В результате написания программы для ПЛИС на HDLязыке, код синтезируется в логическую схему.
Маршрут проектирования ПЛИС:
Описание
на HDL
bitstream
Функциональн
ая симуляция
Отладка
Синтез
Отлаженный bitstream
Готовый
проект
Если по данному маршруту проектируется система на
кристалле, содержащая процессорную часть, то на выходе
мы
имеем
готовую
вычислительную
систему,
программирование
для
которой
осуществляется
стандартными программными средствами. Расширением
данного маршрута является проектирование с применением
IP-ядра.
Написание
IP-ядра
Отладка,
синтез,
упаковка
Запись в
репозиторий
Синтезированная логическая схема

8.

Цифровая обработка сигналов на ПЛИС
ПЛИС произвели взрыв в технике реализации алгоритмов ЦОС. Для сложных алгоритмов наиболее
подходящими являются ПЛИС с FPGA-архитектурой.
Использование ПЛИС в ЦОС обуславливается их высоким быстродействием (тактовые частоты – до 250300 МГц), а также возможностью выполнения сложных алгоритмов параллельно.
Архитектурные особенности ПЛИС позволяют эффективно работать с алгоритмами ЦОС. Так, например,
большое количество алгоритмов, в частности фильтрации, выполняются в виде устройств с конечной
импульсной характеристикой. В ПЛИС можно создавать быстродействующие КИХ высокого порядка.
Для упрощения разработки средств ЦОС на базе ПЛИС, используются библиотеки параметризируемых
мегафункций.

9.

Эквалайзер на ПЛИС
Цифровой многополосный эквалайзер является одним из основных
устройств применяемых в аудиотехнике для коррекции АЧХ
записывающих и воспроизводящих устройств. Существует два
основных подхода для реализации таких систем: обработка
аудиосигнала в частотной или временной области.
Применение ПЛИС для задач цифровой обработки сигналов
является предпочтительной с точки зрения возможности выполнить
обработку с минимально возможной технической задержкой, а
также параллелизма вычислений.
Для моделирования и проектирования эквалайзера используется
внутреннее самописное IP-ядро.
English     Русский Правила